CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog 电子琴

搜索资源列表

  1. music

    0下载:
  2. 在FPGA平台上Verilog实现简易电子琴功能,可直接用Quartus下载到板上运行。-A simple electronic organ function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2105688
    • 提供者:刘昊
  1. eda

    0下载:
  2. 用verilog硬件描述语言编写的电子琴工程,实现手动弹奏21个音符,自动播放内置音乐,在显示器上模拟显示按键等功能。-Using verilog hardware descr iption language organ works, play 21 notes for manual, automatic built-in music player, analog display buttons on the monitor and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1264063
    • 提供者:好机会
  1. key_piano

    0下载:
  2. 基于FPGA的Verilog语言开发的电子琴测试程序,很好哦-Verilog FPGA-based language developed organ testing procedures, very well
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:713
    • 提供者:华南
« 1 2»
搜珍网 www.dssz.com